Follow
Hao Zhuang
Hao Zhuang
Tesla, ex Google
Verified email at google.com - Homepage
Title
Cited by
Cited by
Year
Automatic heterogeneous quantization of deep neural networks for low-latency inference on the edge for particle detectors
CN Coelho, A Kuusela, S Li, H Zhuang, J Ngadiuba, TK Aarrestad, ...
Nature Machine Intelligence 3 (8), 675-686, 2021
1652021
RWCap: A floating random walk solver for 3-D capacitance extraction of very-large-scale integration interconnects
W Yu, H Zhuang, C Zhang, G Hu, Z Liu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
1112013
Zero-mask contact fuse for one-time-programmable memory in standard CMOS processes
M Shi, J He, L Zhang, C Ma, X Zhou, H Lou, H Zhuang, R Wang, Y Li, ...
IEEE electron device letters 32 (7), 955-957, 2011
1022011
ePlace-MS: Electrostatics based Placement for Mixed-Size Circuits
J Lu, H Zhuang, P Chen, H Chang, C Chang, Y Wong, L Sha, D Huang, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
922015
ePlace-3D: Electrostatics based Placement for 3D-ICs
J Lu, H Zhuang, I Kang, P Chen, CK Cheng
Proc. ACM/IEEE International Symposium on Physical Design 2016, to appear, 2016
452016
Ultra low-latency, low-area inference accelerators using heterogeneous deep quantization with QKeras and hls4ml
CN Coelho, A Kuusela, H Zhuang, T Aarrestad, V Loncar, J Ngadiuba, ...
arXiv preprint arXiv:2006.10159, 108, 2020
352020
MATEX: A Distributed Framework for Transient Simulation of Power Distribution Networks
H Zhuang, SH Weng, JH Lin, CK Cheng
Proceedings of the The 51st Annual Design Automation Conference on Design …, 2014
352014
GPU-friendly floating random walk algorithm for capacitance extraction of VLSI interconnects
K Zhai, W Yu, H Zhuang
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2013
352013
Automatic deep heterogeneous quantization of deep neural networks for ultra low-area, low-latency inference on the edge at particle colliders
CN Coelho, A Kuusela, S Li, H Zhuang, T Aarrestad, V Loncar, ...
arXiv preprint arXiv:2006.10159 6, 2020
282020
Fast Floating Random Walk Algorithm for Multi-Dielectric Capacitance Extraction with Numerical Characterization of Green's Functions
H Zhuang, W Yu, G Hu, Z Liu, Z Ye
Design Automation Conference (ASP-DAC), 2012 17th Asia and South Pacific …, 2012
28*2012
Simulation Algorithms with Exponential Integration for Time-Domain Analysis of Large-Scale Power Delivery Networks
H Zhuang, W Yu, SH Weng, I Kang, JH Lin, X Zhang, R Coutts, CK Cheng
arXiv:1505.06699, 2016
272016
Accelerated floating random walk algorithm for the electrostatic computation with 3-D rectilinear-shaped conductors
W Yu, K Zhai, H Zhuang, J Chen
Simulation Modelling Practice and Theory 34, 20-36, 2013
222013
Power Grid Simulation using Matrix Exponential Method with Rational Krylov Subspaces
H Zhuang, SH Weng, CK Cheng
ASIC (ASICON), 2013 IEEE 10th International Conference on, to appear, 2013
202013
From Circuit Theory, Simulation to SPICE Diego: A Matrix Exponential Approach for Time Domain Analysis of Large Scale Circuits
H Zhuang, X Wang, Q Chen, P Chen, CK Cheng
IEEE Circuits and Systems Magazine 16 (2), 16-34, 2016
172016
Efficient floating random walk algorithm for interconnect capacitance extraction considering multiple dielectrics
G Hu, W Yu, H Zhuang, S Zeng
2011 9th IEEE International Conference on ASIC, 834-837, 2011
172011
An Efficient Transient Electro-Thermal Simulation for Power Integrated Circuits
Q Mei, W Schoenmaker, SH Weng, H Zhuang, CK Cheng, Q Chen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
162015
An algorithmic framework for efficient large-scale circuit simulation using exponential integrators
H Zhuang, W Yu, I Kang, X Wang, CK Cheng
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
152015
Performance-driven placement for design of rotation and right arithmetic shifters in monolithic 3D ICs
H Zhuang, J Lu, K Samadi, Y Du, CK Cheng
2013 International Conference on Communications, Circuits and Systems …, 2013
112013
Dynamic Analysis of Power Delivery Network with Nonlinear Components Using Matrix Exponential Method
H Zhuang, I Kang, X Wang, JH Lin, CK Cheng
2015 IEEE Symposium on Electromagnetic Compatibility and Signal Integrity …, 2015
102015
Machine Learning based Generic Violation Waiver System with Application on Electromigration Sign-off
Norman Chang, Ajay Baranwal, Hao Zhuang, Ming-Chih Shih, Rahul Rajan, Yaowei ...
ACM/IEEE Asia & South Pacific Design Automation Conference (ASP-DAC), 2018
9*2018
The system can't perform the operation now. Try again later.
Articles 1–20