Follow
Lluc Alvarez
Lluc Alvarez
Universitat Politecnica de Catalunya (UPC) and Barcelona Supercomputing Center (BSC)
Verified email at bsc.es
Title
Cited by
Cited by
Year
Coherence protocol for transparent management of scratchpad memories in shared memory manycore architectures
L Alvarez, L Vilanova, M Moreto, M Casas, M Gonzàlez, X Martorell, ...
Proceedings of the 42nd Annual International Symposium on Computer …, 2015
462015
Runtime-aware architectures
M Casas, M Moretó, L Alvarez, E Castillo, D Chasapis, T Hayes, ...
European Conference on Parallel Processing, 16-27, 2015
432015
Architectural support for task dependence management with flexible software scheduling
E Castillo, L Alvarez, M Moreto, M Casas, E Vallejo, JL Bosque, R Beivide, ...
2018 IEEE International Symposium on High Performance Computer Architecture …, 2018
342018
CATA: criticality aware task acceleration for multicore processors
E Castillo, M Moreto, M Casas, L Alvarez, E Vallejo, K Chronaki, R Badia, ...
2016 IEEE International Parallel and Distributed Processing Symposium (IPDPS …, 2016
332016
An FPGA Accelerator of the Wavefront Algorithm for Genomics Pairwise Alignment
A Haghi, S Marco-Sola, L Alvarez, D Diamantopoulos, C Hagleitner, ...
2021 31st International Conference on Field-Programmable Logic and …, 2021
322021
Runtime-guided management of scratchpad memories in multicore architectures
L Alvarez, M Moretó, M Casas, E Castillo, X Martorell, J Labarta, ...
2015 International Conference on Parallel Architecture and Compilation (PACT …, 2015
312015
Exploiting Page Table Locality for Agile TLB Prefetching
G Vavouliotis, L Alvarez, V Karakostas, K Nikas, N Koziris, DA Jiménez, ...
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
272021
Runtime-Guided Management of Stacked DRAM Memories in Task Parallel Programs
L Alvarez, M Casas, J Labarta, E Ayguade, M Valero, M Moreto
Proceedings of the 2018 International Conference on Supercomputing, 218-228, 2018
242018
Hardware–software coherence protocol for the coexistence of caches and local memories
L Alvarez, L Vilanova, M Gonzalez, X Martorell, N Navarro, E Ayguade
IEEE Transactions on Computers 64 (1), 152-165, 2015
242015
Hardware–software coherence protocol for the coexistence of caches and local memories
L Alvarez, L Vilanova, M Gonzàlez, X Martorell, N Navarro, E Ayguadé
Proceedings of the International Conference on High Performance Computing …, 2012
242012
The DeepHealth Toolkit: a unified framework to boost biomedical applications
M Cancilla, L Canalini, F Bolelli, S Allegretti, S Carrión, R Paredes, ...
2020 25th International Conference on Pattern Recognition (ICPR), 9881-9888, 2021
232021
Reducing cache coherence traffic with a numa-aware runtime approach
P Caheny, L Alvarez, S Derradji, M Valero, M Moretó, M Casas
IEEE Transactions on Parallel and Distributed Systems 29 (5), 1174-1187, 2018
232018
Teaching HPC Systems and Parallel Programming with Small-Scale Clusters
L Alvarez, E Ayguade, F Mantovani
2018 IEEE/ACM Workshop on Education for High-Performance Computing (EduHPC …, 2018
192018
Accelerating Edit-Distance Sequence Alignment on GPU Using the Wavefront Algorithm
Q Aguado-Puig, S Marco-Sola, JC Moure, D Castells-Rufas, L Alvarez, ...
IEEE access 10, 63782-63796, 2022
172022
Morrigan: A Composite Instruction TLB Prefetcher
G Vavouliotis, L Alvarez, B Grot, D Jiménez, M Casas
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
172021
Intelligent Adaptation of Hardware Knobs for Improving Performance and Power Consumption
C Ortega, L Alvarez, M Casas, R Bertran, A Buyuktosunoglu, ...
IEEE Transactions on Computers 70 (1), 1-16, 2020
112020
Runtime-assisted cache coherence deactivation in task parallel programs
P Caheny, L Alvarez, M Valero, M Moretó, M Casas
Proceedings of the International Conference for High Performance Computing …, 2018
112018
Page Size Aware Cache Prefetching
G Vavouliotis, G Chacon, L Alvarez, PV Gratz, DA Jiménez, M Casas
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO), 956-974, 2022
92022
A review of CNN accelerators for embedded systems based on RISC-V
A Sanchez-Flores, L Alvarez, B Alorda-Ladaria
2022 IEEE International Conference on Omni-layer Intelligent Systems (COINS …, 2022
92022
OpenCL-based FPGA Accelerator for Semi-Global Approximate String Matching Using Diagonal Bit-Vectors
D Castells-Rufas, S Marco-Sola, Q Aguado-Puig, A Espinosa-Morales, ...
2021 31st International Conference on Field-Programmable Logic and …, 2021
92021
The system can't perform the operation now. Try again later.
Articles 1–20