Segui
Abhinav Agarwal
Abhinav Agarwal
Meta, Microsoft, Oracle, Massachusetts Institute of Technology
Email verificata su alum.mit.edu - Home page
Titolo
Citata da
Citata da
Anno
High-throughput implementation of a million-point sparse Fourier transform
A Agarwal, H Hassanieh, O Abari, E Hamed, D Katabi
2014 24th International Conference on Field Programmable Logic and …, 2014
372014
27.4 A 0.75-million-point fourier-transform chip for frequency-sparse signals
O Abari, E Hamed, H Hassanieh, A Agarwal, D Katabi, AP Chandrakasan, ...
2014 IEEE International Solid-State Circuits Conference Digest of Technical …, 2014
342014
A comparative evaluation of high-level hardware synthesis using reed–solomon decoder
A Agarwal, MC Ng
IEEE Embedded Systems Letters 2 (3), 72-76, 2010
342010
Integrated circuit implementation of methods and apparatuses for monitoring occupancy of wideband GHz spectrum, and sensing respective frequency components of time-varying …
D Katabi, O Salehi-Abari, E Hamed, HZ Al-Hassanieh, SHI Lixin, ...
US Patent 9,313,072, 2016
192016
Leveraging rule-based designs for automatic power domain partitioning
A Agarwal
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 326-333, 2013
112013
Design contest overview: Combined architecture for network stream categorization and intrusion detection (CANSCID)
M Pellauer, A Agarwal, A Khan, MC Ng, M Vijayaraghavan, F Brewer, ...
Eighth ACM/IEEE International Conference on Formal Methods and Models for …, 2010
102010
Generating infrastructure for FPGA-accelerated applications
M King, A Khan, A Agarwal, O Arcas
2013 23rd International Conference on Field programmable Logic and …, 2013
92013
Comparison of high level design methodologies for algorithmic IPs: Bluespec and C-based synthesis
A Agarwal
Massachusetts Institute of Technology, 2009
52009
Use of high-level design information for enabling automation of fine-grained power gating
A Agarwal
Massachusetts Institute of Technology, 2014
12014
Implementing a fast cartesian-polar matrix interpolator
A Agarwal, N Dave, K Fleming, A Khan, M King, MC Ng, ...
Institute of Electrical and Electronics Engineers, 2009
12009
2010 Index IEEE Embedded Systems Letters Vol. 2
RA Abdallah, A Agarwal, D Atienza, JL Ayala, B Benson, G Bois, ...
Automotive engineering 21, 22, 2010
2010
Retrieval of the donor organ for orthotopic liver transplantation: An audit
AT Abraham, SR Shah, A Agarwal, BR Davidson, K Rolles
HPB Surgery 3, 139-, 2001
2001
An isolated roux loop pancreato-jejunostomy following pancreato-duodenectomy avoids pancreatic fistula
A Agarwal, A Khan, BR Davidson
HPB Surgery 2 (2), 201-, 2000
2000
SECTION 4 TRANSPLANTATION, INCLUDING TUMOR BIOLOGY-Free Communications-Abstracts-In vivo Evaluation of the Effect of Graded Steatosis on the Hepatic Parenchymal Microcirculation
C Piasecki, AM Seifalian, A Agarwal, BR Davidson
Hepato Gastroenterology-Current Medical and Surgical Trends 46 (2), 1485, 1999
1999
Investigation of hepatic microvasculature in fatty liver by intravital microscopy
A Agarwal, C Piasecki, AM Seifalian, BR Davidson
Digestive Surgery 16 (S1), 30-30, 1999
1999
In vivo evaluation of the effect of graded steatosis on the hepatic parenchymal microcirculation
C Piasecki, AM Seifalian, A Agarwal, BR Davidson
Hepato-Gastroenterology 46, 1487-1487, 1999
1999
Design contest overview: Combined architecture for network stream categorization and intrusion detection (CANSCID)
M Pellauer, A Agarwal, A Khan, MC Ng, M Vijayaraghavan, F Brewer, ...
Il sistema al momento non può eseguire l'operazione. Riprova più tardi.
Articoli 1–17