Follow
Mohab Anis
Title
Cited by
Cited by
Year
Design and optimization of multithreshold CMOS (MTCMOS) circuits
M Anis, S Areibi, M Elmasry
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2003
2642003
Dynamic and leakage power reduction in MTCMOS circuits using an automated efficient gate clustering technique
M Anis, M Mahmoud, M Elmasry, S Areibi
Proceedings of the 39th annual design automation conference, 480-485, 2002
2522002
Energy-efficient noise-tolerant dynamic styles for scaled-down CMOS and MTCMOS technologies
MH Anis, MW Allam, MI Elmasry
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 10 (2), 71-78, 2002
1872002
MOS current mode circuits: analysis, design, and variability
H Hassan, M Anis, M Elmasry
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 13 (8), 885-898, 2005
1732005
High-speed dynamic logic styles for scaled-down CMOS and MTCMOS technologies
MW Allam, MH Anis, MI Elmasry
Proceedings of the 2000 international symposium on Low power electronics and …, 2000
1692000
Impact of technology scaling on CMOS logic styles
M Anis, M Allam, M Elmasry
IEEE Transactions on Circuits and Systems II: Analog and Digital Signal …, 2002
1202002
Multi-Threshold CMOS Digital Circuits Managing Leakage Power
M Anis, M Elmasry
optimization 3, 46, 2003
1072003
Adaptive body bias for reducing the impacts of NBTI and process variations on 6T SRAM cells
H Mostafa, M Anis, M Elmasry
IEEE Transactions on Circuits and Systems I: Regular Papers 58 (12), 2859-2871, 2011
942011
Statistical design of the 6T SRAM bit cell
V Gupta, M Anis
IEEE Transactions on Circuits and Systems I: Regular Papers 57 (1), 93-104, 2009
922009
Nanometer variation-tolerant SRAM: circuits and statistical design for yield
MA Rahma, M Anis
Springer Science & Business Media, 2012
582012
NBTI and process variations compensation circuits using adaptive body bias
H Mostafa, M Anis, M Elmasry
IEEE transactions on semiconductor manufacturing 25 (3), 460-467, 2012
572012
A statistical design-oriented delay variation model accounting for within-die variations
MH Abu-Rahma, M Anis
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
562008
Dynamic standby prediction for leakage tolerant microprocessor functional units
A Youssef, M Anis, M Elmasry
2006 39th Annual IEEE/ACM International Symposium on Microarchitecture …, 2006
542006
Statistical thermal profile considering process variations: Analysis and applications
J Jaffari, M Anis
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
522008
Self-aligned double-patterning (SADP) friendly detailed routing
M Mirsaeedi, JA Torres, M Anis
Design for Manufacturability through Design-Process Integration V 7974, 190-198, 2011
482011
Discrete cooperative particle swarm optimization for FPGA placement
M El-Abd, H Hassan, M Anis, MS Kamel, M Elmasry
Applied Soft Computing 10 (1), 284-295, 2010
482010
A novel low area overhead direct adaptive body bias (D-ABB) circuit for die-to-die and within-die variations compensation
H Mostafa, M Anis, M Elmasry
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 19 (10 …, 2010
462010
Statistical design framework of submicron flip-flop circuits considering process variations
SA Sadrossadat, H Mostafa, M Anis
IEEE Transactions on Semiconductor Manufacturing 24 (1), 69-79, 2010
442010
Leakage current variability in nanometer technologies
M Anis, MH Aburahma
Fifth International Workshop on System-on-Chip for Real-Time Applications …, 2005
442005
A methodology for statistical estimation of read access yield in SRAMs
MH Abu-Rahma, K Chowdhury, J Wang, Z Chen, SS Yoon, M Anis
Proceedings of the 45th annual Design Automation Conference, 205-210, 2008
432008
The system can't perform the operation now. Try again later.
Articles 1–20