Segui
Li Shang
Titolo
Citata da
Citata da
Anno
Dynamic voltage scaling with links for power optimization of interconnection networks
L Shang, LS Peh, NK Jha
The Ninth International Symposium on High-Performance Computer Architecture …, 2003
6192003
Dynamic power consumption in Virtex™-II FPGA family
L Shang, AS Kaviani, K Bathala
Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field …, 2002
5412002
The next generation of low-cost personal air quality sensors for quantitative exposure monitoring
R Piedrahita, Y Xiang, N Masson, J Ortega, A Collier, Y Jiang, K Li, ...
Atmospheric Measurement Techniques 7 (10), 3325-3336, 2014
3232014
Accurate temperature-dependent integrated circuit leakage power estimation is easy
Y Liu, RP Dick, L Shang, H Yang
2007 Design, Automation & Test in Europe Conference & Exhibition, 1-6, 2007
3072007
Thermal modeling, characterization and management of on-chip networks
L Shang, L Peh, A Kumar, NK Jha
37th International Symposium on Microarchitecture (MICRO-37'04), 67-78, 2004
2502004
Three-dimensional chip-multiprocessor run-time thermal management
C Zhu, Z Gu, L Shang, RP Dick, R Joseph
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
2412008
Ariel: Automatic wi-fi based room fingerprinting for indoor localization
Y Jiang, X Pan, K Li, Q Lv, RP Dick, M Hannigan, L Shang
Proceedings of the 2012 ACM conference on ubiquitous computing, 441-450, 2012
2362012
C-pack: A high-performance microprocessor cache compression algorithm
X Chen, L Yang, RP Dick, L Shang, H Lekatsas
IEEE transactions on very large scale integration (VLSI) systems 18 (8 …, 2009
2202009
HybDTM: a coordinated hardware-software approach for dynamic thermal management
A Kumar, L Shang, LS Peh, NK Jha
Proceedings of the 43rd annual Design Automation Conference, 548-553, 2006
1902006
In-network cache coherence
N Eisley, LS Peh, L Shang
2006 39th Annual IEEE/ACM International Symposium on Microarchitecture …, 2006
1802006
Thermal vs energy optimization for dvfs-enabled processors in embedded systems
Y Liu, H Yang, RP Dick, H Wang, L Shang
8th International Symposium on Quality Electronic Design (ISQED'07), 204-209, 2007
1752007
ISAC: Integrated space-and-time-adaptive chip-package thermal analysis
Y Yang, Z Gu, C Zhu, RP Dick, L Shang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2006
1732006
Power, thermal, and reliability modeling in nanometer-scale microprocessors
D Brooks, RP Dick, R Joseph, L Shang
Ieee Micro 27 (3), 49-62, 2007
1712007
MAQS: a personalized mobile sensing system for indoor air quality monitoring
Y Jiang, K Li, L Tian, R Piedrahita, X Yun, O Mansata, Q Lv, RP Dick, ...
Proceedings of the 13th international conference on Ubiquitous computing …, 2011
1612011
Adaptive analysis methods
L Shang, Y Yang, R Dick
US Patent App. 11/713,790, 2007
1572007
Hallway based automatic indoor floorplan construction using room fingerprints
Y Jiang, Y Xiang, X Pan, K Li, Q Lv, RP Dick, L Shang, M Hannigan
Proceedings of the 2013 ACM international joint conference on Pervasive and …, 2013
1512013
3D-STAF: Scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits
P Zhou, Y Ma, Z Li, RP Dick, L Shang, H Zhou, X Hong, Q Zhou
2007 IEEE/ACM International Conference on Computer-Aided Design, 590-597, 2007
1502007
Multi-optimization power management for chip multiprocessors
K Meng, R Joseph, RP Dick, L Shang
Proceedings of the 17th international conference on Parallel architectures …, 2008
1432008
Fault prediction and diagnosis of wind turbine generators using SCADA data
Y Zhao, D Li, A Dong, D Kang, Q Lv, L Shang
Energies 10 (8), 1210, 2017
1362017
An algorithm for efficient privacy-preserving item-based collaborative filtering
D Li, C Chen, Q Lv, L Shang, Y Zhao, T Lu, N Gu
Future Generation Computer Systems 55, 311-320, 2016
1322016
Il sistema al momento non può eseguire l'operazione. Riprova più tardi.
Articoli 1–20