Follow
GS Oehrlein
GS Oehrlein
Verified email at umd.edu
Title
Cited by
Cited by
Year
The 2017 Plasma Roadmap: Low temperature plasma science and technology
I Adamovich, SD Baalrud, A Bogaerts, PJ Bruggeman, M Cappelli, ...
Journal of Physics D: Applied Physics 50 (32), 323001, 2017
9462017
Reactor for plasma-based atomic layer etching of materials
GS Oehrlein, D Metzler
US Patent 9,620,382, 2017
3932017
Study of the -to- etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the -to-Si mechanism
M Schaepkens, T Standaert, NR Rueger, PGM Sebel, GS Oehrlein, ...
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 17 (1 …, 1999
3511999
Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide
T Standaert, C Hedlund, EA Joseph, GS Oehrlein, TJ Dalton
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 22 (1 …, 2004
3322004
Role of steady state fluorocarbon films in the etching of silicon dioxide using CHF3 in an inductively coupled plasma reactor
NR Rueger, JJ Beulens, M Schaepkens, MF Doemling, JM Mirza, ...
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 15 (4 …, 1997
3231997
High density fluorocarbon etching of silicon in an inductively coupled plasma: Mechanism of etching through a thick steady state fluorocarbon layer
T Standaert, M Schaepkens, NR Rueger, PGM Sebel, GS Oehrlein, ...
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 16 (1 …, 1998
3041998
Atomic layer etching at the tipping point: an overview
GS Oehrlein, D Metzler, C Li
ECS Journal of Solid State Science and Technology 4 (6), N5041, 2015
2712015
Fluorocarbon high‐density plasmas. I. Fluorocarbon film deposition and etching using CF4 and CHF3
GS Oehrlein, Y Zhang, D Vender, M Haverlag
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 12 (2 …, 1994
2701994
Surface chemistry studies of copper chemical mechanical planarization
J Hernandez, P Wrschka, GS Oehrlein
Journal of the Electrochemical Society 148 (7), G389, 2001
2502001
Silicon etching mechanisms in a CF4/H2 glow discharge
GS Oehrlein, HL Williams
Journal of applied physics 62 (2), 662-672, 1987
2331987
Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication
GS Oehrlein, RJ Phaneuf, DB Graves
Journal of Vacuum Science & Technology B 29 (1), 2011
2232011
Fluorocarbon high‐density plasmas. II. Silicon dioxide and silicon etching using CF4 and CHF3
GS Oehrlein, Y Zhang, D Vender, O Joubert
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 12 (2 …, 1994
2141994
Dry etching damage of silicon: A review
GS Oehrlein
Materials Science and Engineering: B 4 (1-4), 441-450, 1989
2071989
Near‐surface damage and contamination after CF 4/H 2 reactive ion etching of Si
GS Oehrlein, RM Tromp, JC Tsang, YH Lee, EJ Petrillo
Journal of the Electrochemical Society 132 (6), 1441, 1985
2061985
Chemical dry etching of silicon nitride and silicon dioxide using CF4/O2/N2 gas mixtures
BEE Kastenmeier, PJ Matsuo, JJ Beulens, GS Oehrlein
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 14 (5 …, 1996
2031996
Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma
D Metzler, RL Bruce, S Engelmann, EA Joseph, GS Oehrlein
Journal of Vacuum Science & Technology A 32 (2), 2014
2022014
The 2022 Plasma Roadmap: low temperature plasma science and technology
I Adamovich, S Agarwal, E Ahedo, LL Alves, S Baalrud, N Babaeva, ...
Journal of Physics D: Applied Physics 55 (37), 373001, 2022
1782022
Study of sidewall passivation and microscopic silicon roughness phenomena in chlorine‐based reactive ion etching of silicon trenches
GS Oehrlein, JF Rembetski, EH Payne
Journal of Vacuum Science & Technology B: Microelectronics Processing and …, 1990
1651990
Properties of inductively coupled plasmas. II. Plasma chemistry and reaction mechanism for modeling of discharges
AV Vasenkov, X Li, GS Oehrlein, MJ Kushner
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 22 (3 …, 2004
1612004
A review of SiO2 etching studies in inductively coupled fluorocarbon plasmas
M Schaepkens, GS Oehrlein
Journal of The Electrochemical Society 148 (3), C211, 2001
1532001
The system can't perform the operation now. Try again later.
Articles 1–20