Follow
Qiang Ma
Title
Cited by
Cited by
Year
Increased intratumoral mast cells foster immune suppression and gastric cancer progression through TNF-α-PD-L1 pathway
Y Lv, Y Zhao, X Wang, N Chen, F Mao, Y Teng, T Wang, L Peng, J Zhang, ...
Journal for immunotherapy of cancer 7, 1-15, 2019
1172019
Simultaneous handling of symmetry, common centroid, and general placement constraints
Q Ma, L Xiao, YC Tam, EFY Young
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
942010
A polynomial time triple patterning algorithm for cell based row-structure layout
H Tian, H Zhang, Q Ma, Z Xiao, MDF Wong
Proceedings of the International Conference on Computer-Aided Design, 57-64, 2012
792012
Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology
Q Ma, H Zhang, MDF Wong
Proceedings of the 49th Annual Design Automation Conference, 591-596, 2012
692012
Block copolymer directed self-assembly (DSA) aware contact layer optimization for 10 nm 1D standard cell library
Y Du, D Guo, MDF Wong, H Yi, HSP Wong, H Zhang, Q Ma
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 186-193, 2013
642013
Analog placement with common centroid constraints
Q Ma, EFY Young, KP Pun
2007 IEEE/ACM International Conference on Computer-Aided Design, 579-585, 2007
642007
Helicobacter pylori–induced matrix metallopeptidase-10 promotes gastric bacterial colonization and gastritis
Y Lv, P Cheng, J Zhang, F Mao, Y Teng, Y Liu, H Kong, X Wu, C Hao, ...
Science advances 5 (4), eaau6547, 2019
472019
Voltage island-driven floorplanning
Q Ma, EFY Young
2007 IEEE/ACM International Conference on Computer-Aided Design, 644-649, 2007
472007
Multivoltage floorplan design
Q Ma, EFY Young
IEEE transactions on computer-aided design of integrated circuits and …, 2010
452010
CD45+CD33lowCD11bdim myeloid-derived suppressor cells suppress CD8+ T cell activity via the IL-6/IL-8-arginase I axis in human gastric cancer
F Mao, Y Zhao, Y Lv, Y Teng, H Kong, Y Liu, X Wu, C Hao, W Chen, ...
Cell death & disease 9 (7), 763, 2018
432018
Spacer-is-dielectric-compliant detailed routing for self-aligned double patterning lithography
Y Du, Q Ma, H Song, J Shiely, G Luk-Pat, A Miloslavsky, MDF Wong
Proceedings of the 50th Annual Design Automation Conference, 1-6, 2013
432013
Degranulation of mast cells induced by gastric cancer-derived adrenomedullin prompts gastric cancer progression
Y Lv, L Peng, Q Wang, N Chen, Y Teng, T Wang, F Mao, J Zhang, ...
Cell death & disease 9 (10), 1034, 2018
382018
An optimal algorithm for finding disjoint rectangles and its application to PCB routing
H Kong, Q Ma, T Yan, MDF Wong
Proceedings of the 47th Design Automation Conference, 212-217, 2010
322010
A negotiated congestion based router for simultaneous escape routing
Q Ma, T Yan, MDF Wong
2010 11th International Symposium on Quality Electronic Design (ISQED), 606-610, 2010
322010
Helicobacter pylori-induced IL-33 modulates mast cell responses, benefits bacterial growth, and contributes to gastritis
Y Lv, Y Teng, F Mao, L Peng, J Zhang, P Cheng, Y Liu, H Kong, T Wang, ...
Cell Death & Disease 9 (5), 457, 2018
312018
B-Escape: A simultaneous escape routing algorithm based on boundary routing
L Luo, T Yan, Q Ma, MDF Wong, T Shibuya
Proceedings of the 19th international symposium on Physical design, 19-25, 2010
292010
Network flow-based power optimization under timing constraints in MSV-driven floorplanning
Q Ma, EFY Young
2008 IEEE/ACM International Conference on Computer-Aided Design, 1-8, 2008
282008
MSV-driven floorplanning
Q Ma, Z Qian, EFY Young, H Zhou
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
242011
An optimal algorithm for layer assignment of bus escape routing on PCBs
Q Ma, EFY Young, MDF Wong
Proceedings of the 48th Design Automation Conference, 176-181, 2011
242011
On the escape routing of differential pairs
T Yan, PC Wu, Q Ma, MDF Wong
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 614-620, 2010
232010
The system can't perform the operation now. Try again later.
Articles 1–20