Follow
Jiyong Yu
Title
Cited by
Cited by
Year
UCNN: Exploiting computational reuse in deep neural networks via weight repetition
K Hegde, J Yu, R Agrawal, M Yan, M Pellauer, C Fletcher
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture …, 2018
2512018
Speculative taint tracking (stt) a comprehensive protection for speculatively accessed data
J Yu, M Yan, A Khyzha, A Morrison, J Torrellas, CW Fletcher
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
1882019
Data oblivious ISA extensions for side channel-resistant and high performance computing
J Yu, L Hsiung, M El Hajj, CW Fletcher
Cryptology ePrint Archive, 2018
972018
Speculative interference attacks: Breaking invisible speculation schemes
M Behnia, P Sahu, R Paccagnella, J Yu, ZN Zhao, X Zou, T Unterluggauer, ...
Proceedings of the 26th ACM International Conference on Architectural …, 2021
672021
Speculative data-oblivious execution: Mobilizing safe prediction for safe and efficient speculative execution
J Yu, N Mantri, J Torrellas, A Morrison, CW Fletcher
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
532020
Speculation invariance (invarspec): Faster safe execution through program analysis
ZN Zhao, H Ji, M Yan, J Yu, CW Fletcher, A Morrison, D Marinov, ...
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
332020
Speculative privacy tracking (SPT): Leaking information from speculative execution without compromising privacy
R Choudhary, J Yu, C Fletcher, A Morrison
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
262021
Speculative taint tracking (STT): A formal analysis
J Yu, M Yan, A Khyzha, A Morrison, J Torrellas, CW Fletcher
University of Illinois at Urbana-Champaign and Tel Aviv University, Tech. Rep, 2019
112019
Speculative taint tracking (stt): A comprehensive protection for speculatively accessed data
J Yu, M Yan, A Khyzha, A Morrison, J Torrellas, CW Fletcher
IEEE Micro 40 (3), 81-90, 2020
92020
Exposing cache timing side-channel leaks through out-of-order symbolic execution
S Guo, Y Chen, J Yu, M Wu, Z Zuo, P Li, Y Cheng, H Wang
Proceedings of the ACM on Programming Languages 4 (OOPSLA), 1-32, 2020
82020
All your pc are belong to us: Exploiting non-control-transfer instruction btb updates for dynamic pc extraction
J Yu, T Jaeger, CW Fletcher
Proceedings of the 50th Annual International Symposium on Computer …, 2023
42023
Creating Foundations for Secure Microarchitectures With Data-Oblivious ISA Extensions
J Yu, L Hsiung, M El Hajj, CW Fletcher
IEEE Micro 40 (3), 99-107, 2020
42020
Synchronization Storage Channels ({{{{{S2C)}}}}}: Timer-less Cache {Side-Channel} Attacks on the Apple M1 via Hardware Synchronization Instructions
J Yu, A Dutta, T Jaeger, D Kohlbrenner, CW Fletcher
32nd USENIX Security Symposium (USENIX Security 23), 1973-1990, 2023
32023
Speculative data-oblivious execution (SDO): Mobilizing safe prediction for safe and efficient speculative execution
J Yu, N Mantri
International Conference on Computer Architecture, 2020
32020
Pagoda: Towards binary code privacy protection with sgx-based execute-only memory
J Yu, X Ge, T Jaeger, CW Fletcher, W Cui
2022 IEEE International Symposium on Secure and Private Execution …, 2022
22022
Speculative Taint Tracking (STT)
J Yu, M Yan, A Khyzha, A Morrison, J Torrellas, CW Fletcher
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
2019
Lehman, Tamara Silbergleit 179 Liu, Fangfei 13 Livesay, Neal 61 Lowe-Power, Jason 121
S McCamant, A Akram, U Ali, A Anastasopoulos, FA Andargie, T Austin, ...
The system can't perform the operation now. Try again later.
Articles 1–17