Follow
Zigang Xiao
Title
Cited by
Cited by
Year
A polynomial time triple patterning algorithm for cell based row-structure layout
H Tian, H Zhang, Q Ma, Z Xiao, MDF Wong
Proceedings of the International Conference on Computer-Aided Design, 57-64, 2012
792012
CrossRouter: A droplet router for cross-referencing digital microfluidic biochips
Z Xiao, EFY Young
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC), 269-274, 2010
552010
Local clock skew minimization using blockage-aware mixed tree-mesh clock network
L Xiao, Z Xiao, Z Qian, Y Jiang, T Huang, H Tian, EFY Young
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 458-462, 2010
472010
DSA template mask determination and cut redistribution for advanced 1D gridded design
Z Xiao, Y Du, MDF Wong, H Zhang
Photomask Technology 2013 8880, 155-162, 2013
402013
Directed self-assembly (DSA) template pattern verification
Z Xiao, Y Du, H Tian, MDF Wong, H Yi, HSP Wong, H Zhang
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
372014
DSA-aware detailed routing for via layer optimization
Y Du, Z Xiao, MDF Wong, H Yi, HSP Wong
Alternative Lithographic Technologies VI 9049, 548-555, 2014
372014
Constrained pattern assignment for standard cell based triple patterning lithography
H Tian, Y Du, H Zhang, Z Xiao, MDF Wong
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 178-185, 2013
332013
Contact layer decomposition to enable DSA with multi-patterning technique for standard cell based layout
Z Xiao, CX Lin, MDF Wong, H Zhang
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 95-102, 2016
312016
A polynomial time exact algorithm for self-aligned double patterning layout decomposition
Z Xiao, Y Du, H Zhang, MDF Wong
Proceedings of the 2012 ACM international symposium on International …, 2012
292012
A polynomial time exact algorithm for overlay-resistant self-aligned double patterning (SADP) layout decomposition
Z Xiao, Y Du, H Zhang, MDF Wong
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
282013
Droplet-routing-aware module placement for cross-referencing biochips
Z Xiao, EFY Young
Proceedings of the 19th international symposium on Physical design, 193-199, 2010
282010
Triple patterning aware detailed placement with constrained pattern assignment
H Tian, Y Du, H Zhang, Z Xiao, MDF Wong
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 116-123, 2014
242014
Efficient parallel power grid analysis via additive schwarz method
T Yu, Z Xiao, MDF Wong
Proceedings of the International Conference on Computer-Aided Design, 399-406, 2012
242012
DSA template optimization for contact layer in 1D standard cell design
Z Xiao, Y Du, H Tian, MDF Wong, H Yi, HSP Wong
Alternative Lithographic Technologies VI 9049, 412-419, 2014
202014
Placement and routing for cross-referencing digital microfluidic biochips
Z Xiao, EFY Young
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2011
202011
Contact pitch and location prediction for directed self-assembly template verification
Z Xiao, Y Du, MDF Wong, H Yi, HSP Wong, H Zhang
The 20th Asia and South Pacific Design Automation Conference, 644-651, 2015
152015
Optimally minimizing overlay violation in self-aligned double patterning decomposition for row-based standard cell layout in polynomial time
Z Xiao, Y Du, H Tian, MDF Wong
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 32-39, 2013
142013
Hybrid lithography for triple patterning decomposition and e-beam lithography
H Tian, H Zhang, Z Xiao, MDF Wong
Optical Microlithography XXVII 9052, 188-194, 2014
132014
An efficient linear time triple patterning solver
H Tian, H Zhang, Z Xiao, MDF Wong
The 20th Asia and South Pacific Design Automation Conference, 208-213, 2015
102015
Layout optimization and template pattern verification for directed self-assembly (dsa)
Z Xiao, D Guo, MDF Wong, H Yi, MC Tung, HSP Wong
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
82015
The system can't perform the operation now. Try again later.
Articles 1–20