Segui
Joonsung Kim
Titolo
Citata da
Citata da
Anno
μlayer: Low latency on-device inference using cooperative single-layer acceleration and processor-friendly quantization
Y Kim, J Kim, D Chae, D Kim, J Kim
Proceedings of the Fourteenth EuroSys Conference 2019, 1-15, 2019
942019
MnnFast: a fast and scalable system architecture for memory-augmented neural networks
H Jang, J Kim, JE Jo, J Lee, J Kim
Proceedings of the 46th International Symposium on Computer Architecture …, 2019
662019
CIDR: A Cost-Effective In-Line Data Reduction System for Terabit-Per-Second Scale SSD Arrays
M Ajdari, P Park, J Kim, D Kwon, J Kim
2019 IEEE International Symposium on High Performance Computer Architecture …, 2019
332019
SSD performance modeling using bottleneck analysis
J Kim, J Kim, P Park, J Kim, J Kim
IEEE Computer Architecture Letters 17 (1), 80-83, 2017
232017
SSDcheck: Timely and Accurate Prediction of Irregular Behaviors in Black-Box SSDs
J Kim, P Park, J Ahn, J Kim, J Kim, J Kim
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture …, 2018
192018
A scalable hw-based inline deduplication for ssd arrays
M Ajdari, P Park, D Kwon, J Kim, J Kim
IEEE Computer Architecture Letters 17 (1), 47-50, 2017
132017
NLP-Fast: A Fast, Scalable, and Flexible System to Accelerate Large-Scale Heterogeneous NLP Models
J Kim, S Hur, E Lee, S Lee, J Kim
2021 30th International Conference on Parallel Architectures and Compilation …, 2021
122021
Performance Modeling and Practical Use Cases for Black-Box SSDs
J Kim, K Choi, W Lee, J Kim
ACM Transactions on Storage (TOS) 17 (2), 1-38, 2021
112021
FIDR: A Scalable Storage System for Fine-Grain Inline Data Reduction with Efficient Memory Handling
M Ajdari, W Lee, P Park, J Kim, J Kim
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
112019
CloudSwap: A cloud-assisted swap mechanism for mobile devices
D Chae, J Kim, Y Kim, J Kim, KA Chang, SB Suh, H Lee
2016 16th IEEE/ACM International Symposium on Cluster, Cloud and Grid …, 2016
112016
UC-Check: Characterizing Micro-operation Caches in x86 Processors and Implications in Security and Performance
J Kim, H Jang, H Lee, S Lee, J Kim
MICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture …, 2021
82021
DynaMix: dynamic mobile device integration for efficient cross-device resource sharing
D Chae, J Kim, G Lee, H Kim, KA Chang, H Lee, J Kim
2018 {USENIX} Annual Technical Conference ({USENIX}{ATC} 18), 71-84, 2018
62018
Enforcing Last-Level Cache Partitioning through Memory Virtual Channels
J Chung, Y Ro, J Kim, J Ahn, J Kim, J Kim, JW Lee, JH Ahn
2019 28th International Conference on Parallel Architectures and Compilation …, 2019
52019
A Fast and Flexible FPGA-based Accelerator for Natural Language Processing Neural Networks
S Hur, S Na, D Kwon, J Kim, J Kim, A Boutros, E Nurvitadhi
ACM Transactions on Architecture and Code Optimization (TACO), 2022
42022
3D-FPIM: An Extreme Energy-Efficient DNN Acceleration System Using 3D NAND Flash-Based In-Situ PIM Unit
H Lee, M Kim, D Min, J Kim, J Back, H Yoo, JH Lee, J Kim
2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO …, 2022
32022
LSim: Fine-Grained Simulation Framework for Large-Scale Performance Evaluation
H Jang, T Kang, J Kim, J Cho, JE Jo, S Lee, W Chang, J Kim, H Jang
IEEE Computer Architecture Letters 21 (1), 25-28, 2022
2022
Il sistema al momento non può eseguire l'operazione. Riprova più tardi.
Articoli 1–16