Segui
Zheng Wang
Zheng Wang
Email verificata su siat.ac.cn
Titolo
Citata da
Citata da
Anno
Current Mirror Array: A Novel Circuit Topology for Combining Physical Unclonable Function and Machine Learning
Z Wang, Y Chen, A Patil, X Zhang, CH Chang, A Basu
IEEE Transactions on Circuits and Systems I: Regular Papers, 2017
542017
A 2.86-TOPS/W Current Mirror Cross-Bar Based Machine-Learning and Physical Unclonable Function Engine for Internet-of-Things Applications
Y Chen, Z Wang, A Patil, A Basu
IEEE Transactions on Circuits and Systems I: Regular Papers, 2019
342019
Long Exposure Convolutional Memory Network for accurate estimation of finger kinematics from surface electromyographic signals
W Guo, C Ma, Z Wang, H Zhang, D Farina, N Jiang, C Lin
Journal of Neural Engineering, 2020
312020
Statistical Fault Injection for Impact-Evaluation of Timing Errors on Application Performance
JHF Constantin, Z Wang, G Karakonstantis, A Chattopadhyay, AP Burg
Design Automation Conference (DAC) 2016, 2016
192016
sEMG-based Continuous Estimation of Finger Kinematics by Large Scale Temporal Convolutional Network
C Chen, W Guo, C Ma, Y Yang, Z Wang, C Lin
MDPI Applied Sciences, 2021
182021
Fast reliability exploration for embedded processors via high-level fault injection
Z Wang, C Chen, A Chattopadhyay
International Symposium on Quality Electronic Design (ISQED), 265-272, 2013
182013
Improving the performance of whale optimization algorithm through OpenCL-based FPGA accelerator
Q Jiang, Y Guo, Z Yang, Z Wang, D Yang, X Zhou
Hindawi Complexity, 2020
152020
Context Enhanced Stereo Transformer
W Guo, Z Li, Y Yang, Z Wang, R Taylor, M Unberath, A Yuille, Y Li
European Conference on Computer Vision (ECCV), 2022, 2022
142022
Learn to Make Decision with Small Data for Autonomous Driving: Deep Gaussian Process and Feedback Control
W Fang, S Zhang, H Huang, S Dang, Z Huang, W Li, Z Wang, T Sun, H Li
Hindawi Journal of Advanced Transportation, 2020
142020
Current Mirror Array: a Novel Lightweight Strong PUF Topology with Enhanced Reliability
Z Wang, Y Chen, A Patil, CH Chang, A Basu
IEEE International Symposium on Circuits & Systems (ISCAS), 2017
122017
ASIC synthesis using architecture description language
Z Wang, X Wang, A Chattopadhyay, ZE Rakosi
Proceedings of Technical Program of 2012 VLSI Design, Automation and Test, 1-4, 2012
122012
Processor design with asymmetric reliability
Z Wang, G Paul, A Chattopadhyay
2014 IEEE Computer Society Annual Symposium on VLSI, 565-570, 2014
112014
Improved Modulated Model-Predictive Control for PMSM Drives with Reduced Computational Burden
T Sun, C Jia, J Liang, K Li, L Peng, Z Wang, H Huang
IET Power Electronics, 2020
102020
A CGRA based Neural Network Inference Engine for Deep Reinforcement Learning
M Liang, M Chen, Z Wang, J Sun
IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), 2018
102018
Architectural Reliability Estimation using Design Diversity
Z Wang, Y Liu, A Chattopadhyay
International Symposium on Quality Electronic Design (ISQED), 2015, 2015
92015
System-level reliability exploration framework for heterogeneous MPSoC
Z Wang, C Chen, P Sharma, A Chattopadhyay
Proceedings of the 24th edition of the great lakes symposium on VLSI, 9-14, 2014
92014
Power Modeling and Estimation during ADL-driven Embedded Processor Design
Z Wang, L Wang, H Xie, A Chattopadhyay
2013 4th Annual International Conference on Energy Aware Computing Systems …, 2013
82013
An Intelligent Real-time Object Detection System on Drones
C Chen, Y Peng, H Min, Y Yang, Z Wang
Applied Sciences - MDPI, 2022
72022
Runtime NBTI Mitigation for Processor Lifespan Extension via Selective Node Control
S Bian, M Shintani, Z Wang, M Hiromoto, A Chattopadhyay, T Sato
25th IEEE Asian Test Symposium (ATS), 2016
72016
Direct FPGA-based power profiling for a RISC processor
C Cernazanu-Glavan, M Marcu, A Amaricai, S Fedeac, M Ghenea, ...
2015 IEEE International Instrumentation and Measurement Technology …, 2015
72015
Il sistema al momento non può eseguire l'operazione. Riprova più tardi.
Articoli 1–20