Segui
Lei He
Titolo
Citata da
Citata da
Anno
Smart electric vehicle (ev) charging and grid integration apparatus and methods
R Gadh, S Mal, S Prabhu, CC Chu, O Sheikh, CY Chung, L He, B Xiao, ...
The Regents of the University of California, Oakland, CA (United States), 2015
10612015
Performance optimization of VLSI interconnect layout
J Cong, L He, CK Koh, PH Madden
Integration 21 (1-2), 1-94, 1996
4081996
Temperature and supply voltage aware performance and power modeling at microarchitecture level
W Liao, L He, KM Lepak
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2005
3852005
Robust extraction of spatial correlation
J Xiong, V Zolotov, L He
(Best Paper of ISPD 2006) IEEE Transactions on Computer-Aided Design of …, 2007
3182007
Structured and parameterized model order reduction
L He, H Yu
US Patent App. 11/858,099, 2008
3162008
Allocating power ground vias in 3D ICs for simultaneous power and thermal integrity
H Yu, J Ho, L He
(Best Paper Award 2010) ACM Transactions on Design Automation of Electronic …, 2009
314*2009
Distributed sleep transistor network for power reduction
C Long, L He
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 12 (9), 937-946, 2004
2722004
Interconnect design for deep submicron ICs
Z Pan, L He, CK Koh, KY Khoo
1997 Proceedings of IEEE International Conference on Computer Aided Design …, 1997
2551997
Architecture evaluation for power-efficient FPGAs
F Li, D Chen, L He, J Cong
Proceedings of the 2003 ACM/SIGDA eleventh international symposium on Field …, 2003
2452003
Low-power FPGA using pre-defined dual-Vdd/dual-Vt fabrics
F Li, Y Lin, L He, J Cong
Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field …, 2004
2252004
ecushion: A textile pressure sensor array design and calibration for sitting posture analysis
W Xu, MC Huang, N Amini, L He, M Sarrafzadeh
IEEE Sensors Journal 13 (10), 3926-3934, 2013
2042013
Low Power Design in Deep Submicron Electronics
W Nebel, J Mermet
Layout Optimization by J. Cong, L. He, C.K. Koh, and Z. Pan 337, 205, 2013
2042013
Power modeling and characteristics of field programmable gate arrays
F Li, Y Lin, L He, D Chen, J Cong
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2005
1912005
Advanced model order reduction techniques in VLSI design
S Tan, L He
Cambridge University Press, 2007
1872007
A Game Theory-Based Energy Management System Using Price Elasticity for Smart Grids
K Wang, Z Ouyang, R Krishnan, L Shu, L He
IEEE Transactions on Industrial Informatics 11 (6), 1607-1616, 2015
1642015
FPGA power reduction using configurable dual-Vdd
F Li, Y Lin, L He
Proceedings of the 41st annual Design Automation Conference, 735-740, 2004
1402004
Smart insole: A wearable system for gait analysis
W Xu, MC Huang, N Amini, JJ Liu, L He, M Sarrafzadeh
Proceedings of the 5th International Conference on PErvasive Technologies …, 2012
1352012
Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimization
L He, KM Lepak
Proceedings of the 2000 international symposium on Physical design, 55-60, 2000
122*2000
Probabilistic model checking and scheduling implementation of an energy router system in energy Internet for green cities
M Gao, K Wang, L He
IEEE Transactions on Industrial Informatics 14 (4), 1501-1510, 2018
1202018
An efficient inductance modeling for on-chip interconnects
L He, N Chang, S Lin, OS Nakagawa
Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No …, 1999
1121999
Il sistema al momento non può eseguire l'operazione. Riprova più tardi.
Articoli 1–20