Follow
Haitong Tian
Title
Cited by
Cited by
Year
Ripple: An effective routability-driven placer by iterative cell movement
X He, T Huang, L Xiao, H Tian, G Cui, EFY Young
2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 74-79, 2011
902011
A polynomial time triple patterning algorithm for cell based row-structure layout
H Tian, H Zhang, Q Ma, Z Xiao, MDF Wong
Proceedings of the International Conference on Computer-Aided Design, 57-64, 2012
792012
Local clock skew minimization using blockage-aware mixed tree-mesh clock network
L Xiao, Z Xiao, Z Qian, Y Jiang, T Huang, H Tian, EFY Young
2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 458-462, 2010
472010
Directed self-assembly (DSA) template pattern verification
Z Xiao, Y Du, H Tian, MDF Wong, H Yi, HSP Wong, H Zhang
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
372014
Constrained pattern assignment for standard cell based triple patterning lithography
H Tian, Y Du, H Zhang, Z Xiao, MDF Wong
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 178-185, 2013
332013
Ripple: A robust and effective routability-driven placer
X He, T Huang, L Xiao, H Tian, EFY Young
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
292013
Triple patterning aware detailed placement with constrained pattern assignment
H Tian, Y Du, H Zhang, Z Xiao, MDF Wong
2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 116-123, 2014
242014
DSA template optimization for contact layer in 1D standard cell design
Z Xiao, Y Du, H Tian, MDF Wong, H Yi, HSP Wong
Alternative Lithographic Technologies VI 9049, 412-419, 2014
202014
Optimally minimizing overlay violation in self-aligned double patterning decomposition for row-based standard cell layout in polynomial time
Z Xiao, Y Du, H Tian, MDF Wong
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 32-39, 2013
142013
Hybrid lithography for triple patterning decomposition and e-beam lithography
H Tian, H Zhang, Z Xiao, MDF Wong
Optical Microlithography XXVII 9052, 188-194, 2014
132014
An efficient linear time triple patterning solver
H Tian, H Zhang, Z Xiao, MDF Wong
The 20th Asia and South Pacific Design Automation Conference, 208-213, 2015
102015
Evaluation of cost-driven triple patterning lithography decomposition
H Tian, H Zhang, Q Ma, MDF Wong
Design for Manufacturability through Design-Process Integration VII 8684, 31-38, 2013
82013
Model-based multiple patterning layout decomposition
D Guo, H Tian, Y Du, MDF Wong
Photomask Technology 2015 9635, 484-491, 2015
32015
Color balancing for triple patterning lithography with complex designs
H Tian, H Zhang, MDF Wong
Photomask Technology 2013 8880, 64-71, 2013
32013
Grid-to-ports clock routing for high performance microprocessor designs
H Tian, WC Tang, EFY Young, CN Sze
Proceedings of the 2011 international symposium on Physical design, 21-28, 2011
22011
Crosslink insertion for variation-driven clock network construction
F Qian, H Tian, E Young
Proceedings of the great lakes symposium on VLSI, 321-326, 2012
12012
Layout decomposition for triple patterning lithography
H Tian
University of Illinois at Urbana-Champaign, 2016
2016
Performance evaluation considering mask misalignment in multiple patterning decomposition
H Tian, MDF Wong
2016 17th International Symposium on Quality Electronic Design (ISQED), 192-197, 2016
2016
Layout Decomposition for Multiple Patterning.
H Tian, MDF Wong
Encyclopedia of Algorithms, 1059-1062, 2016
2016
Postgrid Clock Routing for High Performance Microprocessor Designs
H Tian, WC Tang, EFY Young, CN Sze
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2012
2012
The system can't perform the operation now. Try again later.
Articles 1–20