Segui
Donggyu Kim
Titolo
Citata da
Citata da
Anno
The rocket chip generator
K Asanovic, R Avizienis, J Bachrach, S Beamer, D Biancolin, C Celio, ...
EECS Department, University of California, Berkeley, Tech. Rep. UCB/EECS …, 2016
844*2016
FireSim: FPGA-accelerated cycle-exact scale-out system simulation in the public cloud
S Karandikar, H Mao, D Kim, D Biancolin, A Amid, D Lee, N Pemberton, ...
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture …, 2018
2772018
Reusability is FIRRTL ground: Hardware construction languages, compiler frameworks, and transformations
A Izraelevitz, J Koenig, P Li, R Lin, A Wang, A Magyar, D Kim, C Schmidt, ...
2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 209-216, 2017
2152017
RFUZZ: Coverage-directed fuzz testing of RTL on FPGAs
K Laeufer, J Koenig, D Kim, J Bachrach, K Sen
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
1212018
Strober: fast and accurate sample-based energy simulation for arbitrary RTL
D Kim, A Izraelevitz, C Celio, H Kim, B Zimmer, Y Lee, J Bachrach, ...
Proceedings of the 43rd International Symposium on Computer Architecture …, 2016
472016
FASED: FPGA-accelerated simulation and evaluation of DRAM
D Biancolin, S Karandikar, D Kim, J Koenig, A Waterman, J Bachrach, ...
Proceedings of the 2019 ACM/SIGDA International Symposium on Field …, 2019
402019
Simmani: Runtime power modeling for arbitrary RTL with automatic signal selection
D Kim, J Zhao, J Bachrach, K Asanović
Proceedings of the 52nd Annual IEEE/ACM International Symposium on …, 2019
382019
Evaluation of RISC-V RTL with FPGA-Accelerated Simulation
D Kim, C Celio, D Biancolin, J Bachrach, K Asanovic
1st Workshop on Computer Architecture Research with RISC-V (CARRV '17), 2017
322017
DESSERT: Debugging RTL effectively with state snapshotting for error replays across trillions of cycles
D Kim, C Celio, S Karandikar, D Biancolin, J Bachrach, K Asanović
2018 28th International Conference on Field Programmable Logic and …, 2018
282018
FPGA-Accelerated Evaluation and Verification of RTL Designs
D Kim
University of California, Berkeley, 2019
62019
Debugging RISC-V Processors with FPGA-Accelerated RTL Simulation in the FPGA Cloud
D Kim, C Celio, S Karandikar, D Biancolin, J Bachrach, K Asanovic
2nd Workshop on Computer Architecture Research with RISC-V (CARRV '18), 2018
62018
RFuzz
K Laeufer, J Koenig, D Kim, J Bachrach, K Sen
Proceedings of the International Conference on Computer-Aided Design, 2018
32018
RTL bug localization through LTL specification mining (WIP)
V Iyer, D Kim, B Nikolic, SA Seshia
Proceedings of the 17th ACM-IEEE International Conference on Formal Methods …, 2019
2019
RETROSPECTIVE: FireSim: FPGA-Accelerated Cycle-Exact Scale-Out System Simulation in the Public Cloud
S Karandikar, H Mao, D Kim, D Biancolin, A Amid, D Lee, N Pemberton, ...
Il sistema al momento non può eseguire l'operazione. Riprova più tardi.
Articoli 1–14