Follow
Guihai Yan
Guihai Yan
Associate Professor of Computer Science, Institute of Computing Technology, CAS
Verified email at ict.ac.cn - Homepage
Title
Cited by
Cited by
Year
Flexflow: A flexible dataflow accelerator architecture for convolutional neural networks
W Lu, G Yan, J Li, S Gong, Y Han, X Li
2017 IEEE International Symposium on High Performance Computer Architecture …, 2017
3822017
SmartShuttle: Optimizing off-chip memory accesses for deep learning accelerators
J Li, G Yan, W Lu, S Jiang, S Gong, J Wu, X Li
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 343-348, 2018
1022018
Agileregulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture
G Yan, Y Li, Y Han, X Li, M Guo, X Liang
IEEE International Symposium on High-Performance Comp Architecture, 1-12, 2012
712012
SmartCap: User experience-oriented power adaptation for smartphone's application processor
X Li, G Yan, Y Han, X Li
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), 57-60, 2013
442013
SqueezeFlow: A sparse CNN accelerator exploiting concise convolution rules
J Li, S Jiang, S Gong, J Wu, J Yan, G Yan, X Li
IEEE Transactions on Computers 68 (11), 1663-1677, 2019
422019
AxTrain: Hardware-oriented neural network training for approximate inference
X He, L Ke, W Lu, G Yan, X Zhang
Proceedings of the international symposium on low power electronics and …, 2018
372018
Tetris: Re-architecting convolutional neural network computation for machine learning accelerators
H Lu, X Wei, N Lin, G Yan, X Li
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
342018
BZIP: A compact data memory system for UTXO-based blockchains
S Jiang, J Li, S Gong, J Yan, G Yan, Y Sun, X Li
Journal of Systems Architecture 109, 101809, 2020
282020
An analytical framework for estimating scale-out and scale-up power efficiency of heterogeneous manycores
J Ma, G Yan, Y Han, X Li
IEEE Transactions on Computers 65 (2), 367-381, 2015
262015
A unified online fault detection scheme via checking of stability violation
G Yan, Y Han, X Li
2009 Design, Automation & Test in Europe Conference & Exhibition, 496-501, 2009
262009
A Unified Online Fault Detection Scheme via Checking of Stability Violation
G Yan, Y Han, X Li
Proc. Conf. Des., Autom. Test Euro.(DATE), 2009
262009
Leveraging the core-level complementary effects of PVT variations to reduce timing emergencies in multi-core processors
G Yan, X Liang, Y Han, X Li
Proceedings of the 37th annual international symposium on Computer …, 2010
242010
Joint design of training and hardware towards efficient and accuracy-scalable neural network inference
X He, W Lu, G Yan, X Zhang
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 8 (4 …, 2018
232018
Exploiting the potential of computation reuse through approximate computing
X He, S Jiang, W Lu, G Yan, Y Han, X Li
IEEE Transactions on Multi-Scale Computing Systems 3 (3), 152-165, 2016
212016
SVFD: A versatile online fault detection scheme via checking of stability violation
G Yan, Y Han, X Li
IEEE transactions on very large scale integration (VLSI) systems 19 (9 …, 2010
212010
ReviveNet: A self-adaptive architecture for improving lifetime reliability via localized timing adaptation
G Yan, Y Han, X Li
IEEE Transactions on Computers 60 (9), 1219-1232, 2011
172011
TNPU: An efficient accelerator architecture for training convolutional neural networks
J Li, G Yan, W Lu, S Jiang, S Gong, J Wu, J Yan, X Li
Proceedings of the 24th Asia and South Pacific Design Automation Conference …, 2019
162019
ACR: Enabling computation reuse for approximate computing
X He, G Yan, Y Han, X Li
2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC), 643-648, 2016
142016
RISO: Relaxed network-on-chip isolation for cloud processors
H Lu, G Yan, Y Han, B Fu, X Li
Proceedings of the 50th Annual Design Automation Conference, 1-6, 2013
142013
M-IVC: Using multiple input vectors to minimize aging-induced delay
S Jin, Y Han, L Zhang, H Li, X Li, G Yan
2009 Asian Test Symposium, 437-442, 2009
132009
The system can't perform the operation now. Try again later.
Articles 1–20